module unsigned_2_signed(clk,indata,outdata); input clk; input signed [13:0] indata; output [13:0] outdata; wire signed [14:0] temp; reg [13:0] outdata; assign temp=indata+8192; always@(posedge clk)begin outdata <= temp[13:0]; end endmodule